Implementing Synthetic Aperture Radar Backprojection in Chisel – A Field Report

Zur Kurzanzeige

dc.identifier.uri https://www.repo.uni-hannover.de/handle/123456789/13384
dc.identifier.uri https://doi.org/10.15488/13275
dc.contributor.author Rother, Niklas
dc.contributor.author Fahnemann, Christian
dc.contributor.author Blume, Holger
dc.contributor.editor Orailoglu, Alex
dc.contributor.editor Reichenbach, Marc
dc.contributor.editor Jung, Matthias
dc.date.accessioned 2023-02-21T16:52:03Z
dc.date.available 2023-02-21T16:52:03Z
dc.date.issued 2022
dc.identifier.citation Rother, N.; Fahnemann, C.; Blume, H.: Implementing Synthetic Aperture Radar Backprojection in Chisel – A Field Report. In: Orailoglu, A.; Reichenbach, M.; Jung, M.(Eds.): Embedded Computer Systems : Architectures, Modeling, and Simulation - 22nd International Conference, SAMOS 2022, Proceedings, 2022 (Lecture Notes in Computer Science ; 13511), S. 28-42. DOI: https://doi.org/10.1007/978-3-031-15074-6_2
dc.description.abstract Chisel is an emerging hardware description language which is especially popular in the RISC-V community. In this report, we evaluate its application in the field of general digital hardware design. A dedicated hardware implementation of a Synthetic Aperture Radar (SAR) processing algorithm is used as an example case for a real-world application. It is targeting a modern high performance FPGA platform. We analyze the difference in code size compared to a VHDL implementation. In contrast to related publications, we classify the code lines into several categories, providing a more detailed view. Overall, the number of lines was reduced by 74% while the amount of boilerplate code was reduced by 83%. Additionally, we report on our experience using Chisel in this practical application. We found the generative concept and the flexibility introduced by modern software paradigms superior to traditional hardware description languages. This increased productivity, especially during timing closure. However, additional programming skills not associated with classic hardware design are required to fully leverage its advantages. We recommend Chisel as a language for all hardware design tasks and expect its popularity to increase in the future. eng
dc.language.iso eng
dc.publisher New York, NY : Springer
dc.relation.ispartof Embedded Computer Systems: Architectures, Modeling, and Simulation
dc.relation.ispartofseries Lecture Notes in Computer Science ; 13511
dc.rights Es gilt deutsches Urheberrecht. Das Dokument darf zum eigenen Gebrauch kostenfrei genutzt, aber nicht im Internet bereitgestellt oder an Außenstehende weitergegeben werden.
dc.subject Konferenzschrift ger
dc.subject Chisel eng
dc.subject Hardware description languages eng
dc.subject SAR eng
dc.subject VHDL eng
dc.subject.ddc 620 | Ingenieurwissenschaften und Maschinenbau
dc.subject.ddc 004 | Informatik
dc.title Implementing Synthetic Aperture Radar Backprojection in Chisel – A Field Report eng
dc.type BookPart
dc.type Text
dc.relation.essn 1611-3349
dc.relation.isbn 9783031150746
dc.relation.isbn 9783031150739
dc.relation.issn 0302-9743
dc.relation.doi https://doi.org/10.1007/978-3-031-15074-6_2
dc.bibliographicCitation.volume 13511
dc.bibliographicCitation.firstPage 28
dc.bibliographicCitation.lastPage 42
dc.description.version acceptedVersion eng
tib.accessRights frei zug�nglich


Die Publikation erscheint in Sammlung(en):

Zur Kurzanzeige

 

Suche im Repositorium


Durchblättern

Mein Nutzer/innenkonto

Nutzungsstatistiken